따블로

반응형

한 달에 100만 원만 더 벌 수 있다면 무엇을 하고 싶으신가요? 생각만 해도 입꼬리가 올라갑니다. 그러나 100만 원 더 벌기가 어디 쉬운 가요? 주식 초보라면 반도체 8 공정 알아야 합니다. 간결하게 요약했고, 공정별 관련주도 연결되도록 정리했으니 모르고 투자 절대 하지 마세요.    

 

매월 100만 원을 어디서 벌 수 있을까요? 최근 조 바이든 대통령이 100일 동안 백악관 주도로 미국 경제의 공급망 취약점을 찾아보고 장기 투자를 할 업종을 선택하였습니다. 그 결과 반도체, 2차 전지, 희토류, 헬스케어 등 4대 분야의 공급망이 붕괴 위기에 있다며 행정명령을 발동해 대책 수립을 지시한 바 있습니다.

 

그래서 저는 이번 기회에 먼저 반도체 영역을 점검하기로 했습니다. 업종 하나만 잘 정리해서 포트폴리오를 짜도 충분한 수익을 줄 것으로 판단됩니다. 단타로 하지 말고 중장기로 가져갈 수 있는 종목만 공정별로 선별하였습니다. 비록 삼성전자 때문에 반도체 지수가 잠잠하기 했지만 하반기를 기대해 봅니다  

 

제가 구독하고 있는 유료 정보에는 7월~8월 사이 조정이 올 것이라고 합니다. 다만 그 전에 S&P가 4,400을 찍는다고 하니 아직은 상방으로 열려있다고 생각하시고 4,400이 근처까지 오르면 현금화를 50%까지 확보하는 것을 추천합니다. 그동안 많이 올랐잖아요. 내일 바로 하락한다고 해도 이상하지 않을 수준입니다. 

 

 

반도체-시장-규모-추이
반도체 시장 규모 추이

 

 

반도체는 8공정의 제조 단계가 있습니다.

  1. 웨이퍼 제조 공정
  2. 산화공정
  3. 포토공정
  4. 식각공정
  5. 증착&이온주입 공정
  6. 금속배선공정
  7. EDS공정
  8. 패키징 공정

 

     

 

반도체 전(앞)공정 단계와 공정별 관련 업체

 

 

 

 

반도체 전공정이란 1. 웨이퍼 제조 공정에서 6. 금속배선 공정까지를 말합니다. 그리고 각 공정별로 관련 기업을 정리하였습니다.  

 

1. 웨이퍼 제조 공정

웨이퍼란 반도체 집적회로의 핵심 재료로 원형의 판을 의미합니다. 그 판 위에 다이, 스크라이브 라인, 플랫 존, 노치로 구성되어 있는데 이를 통칭하여 웨이퍼라고 합니다. 웨이퍼 제조 업체 중 세계 Top 5는 아래와 같습니다. 

 

업체명 국가 시장점유율
삼성전자 한국 14.7%
TSMC  대만 13.1%
Micron  미국 9.3%
SK 하이닉스 한국 9.0%
Kioxia/WD 일본 7.7%

 

 

2. 산화 공정

산화공정은 웨이퍼 표면에 실리콘 산화막(SiO2)을 입혀서 트랜지스터의 기초를 만드는 과정입니다. 산화공정을 거치는 이유는 웨이퍼에 절연막 역할을 하는 산화막(SiO₂)을 형성해 회로와 회로 사이에 누설전류가 흐르는 것을 차단하기 위해서입니다.

 

산화막은 또한 이온주입공정에서 확산 방지막 역할을 하고, 식각 공정에서는 필요한 부분이 잘못 식각 되는 것을 막는 식각 방지막 역할도 합니다. 즉, 산화공정을 통해 형성된 산화막이 반도체 제조과정에서 든든한 보호막 역할을 하는 건데요. 미세한 공정을 다루는 반도체 제조과정에서는 아주 작은 불순물도 집적회로의 전기적 특성에 치명적인 영향을 미치기 때문입니다.

 

업체명 사업 분야
피에스케이 산화막 제거 (Dry Cleaning) 
AP시스템, 원익IPS 급속열처리장비

 

 

3. 포토 공정

포토공정은 웨이퍼 위에 반도체 회로를 그려 넣는 과정입니다. 흑백사진 인화와 비슷한 것이 포토공정입니다. 포토 리소그래피(Photo Lithography)를 줄여서 포토공정(Photo)이라고 합니다. 이 공정은 웨이퍼 위에 회로 패턴이 담긴 마스크 상을 빛을 이용해 비춰 회로를 그리기 때문에 붙여진 이름입니다. 여기서 패턴을 형성하는 방법은 흑백 사진을 만들 때 필름에 형성된 상을 인화지에 인화하는 것과 유사합니다.

 

반도체는 집적도가 증가할수록 칩을 구성하는 단위 소자 역시 미세 공정을 사용해 작게 만들어야 하는데요. 미세 회로 패턴 구현 역시 전적으로 포토 공정에 의해 결정되기 때문에 집적도가 높아질수록 포토 공정 기술 또한 세심하고 높은 수준의 기술을 요하게 됩니다.

 

포토공정은 또 아래와 같이 3 공정으로 나뉩니다.

  • 감광액 도포
  • 노광
  • 현상

 

감광액은 포토레지스트라고도 하며 포토레지스트 세계 시장은 JSR 스미토모 등 일본 업체가 90% 이상 장악하고 있습니다. 작년 일본이 포토레지스트, 불화수소, 플루오린 폴리이미드 등 3개의 품목을 수출 규제했었는데요. 그래서 우리나라에서 국산화를 하려고 많이 노력하고 있습니다. 포토레지스트 관련 국내 기업으로는 동진쎄미켐이 있습니다. 

 

업체명 사업 분야
피에스케이 반도체 장비 (PR Strip)
세메스 감광액 도포 장비 (PR COATER)
이엔에프테크놀로지 감광액 (PR) 원재료
동진쎄미켐 감광액 (PR)
에스앤에스텍  포토마스크의 원재료 (Blank Mask),
포토마스크용 보호막 (Pellicle)
에프에스티 포토마스크용 보호막 (Pellicle)

 

 

노광공정은 웨이퍼에 감광액을 도포해 노광장비로 빛을 가해 회로 패턴을 새기는 것을 의미(회로)하며, 증착공정은 물리적·화학적 방법을 통해서 웨이퍼에 전기적 특성을 갖는 분자 또는 원자 단위의 물질을 입히는 것을 뜻함(박막). 식각 공정은 플라스마 상태에서 특수한 가스를 주입해 불필요한 회로 부분을 선택적으로 제거하고 세정하는 것(세정).

 

업체명 사업 분야
동진쎄미켐 반도체 노광공정 소재인 감광제 제조사.
티씨케이  반도체 노광공정 소재인 고순도 카바이드(흑연) 제조사.
ASML 네덜란드 노광 장비 업체 (글로벌 독점 기업)

 

 

4.  식각 공정

식각 공정은 반도체의 구조를 형성하는 회로 패턴을 만드는 공정입니다. 부식과 같은 화학작용을 이용해 이미지를 만드는 판화의 에칭 기법처럼, 반도체 식각 공정도 웨이퍼에 액체 또는 기체의 부식액(etchant)을 이용해 불필요한 부분을 선택적으로 제거한 후 반도체 회로 패턴을 만듭니다.

 

포토 공정에서 형성된 감광액 부분을 남겨둔 채 나머지 부분을 부식액을 이용해 벗겨 냄으로써 회로를 형성하죠. 식각이 끝나면 감광액도 제거합니다. 이렇게 반도체를 구성하는 여러 층의 얇은 막에 원하는 회로 패턴을 형성하는 과정을 반복합니다.

 

식각 공정에서 불화수소가 사용되는데요. 불화수소의 역할은 판화 작업에서 조각도로 긁어내는 역할을 하며 웨이퍼의 불필요한 부분을 긁어내 주는 것이죠.  불화수소는 일본의 수출 규제품목 중 하나이며 빠르게 국산화가 이루어지고 있는 품목입니다. 

 

국내 중견기업이 일본산과 동일한 초고순도 불화수소 제품을 만들어 삼성전자, SK하이닉스에 납품하기 시작했습니다. 불화수소 관련 국내 기업으로는 솔브레인, 램테크놀로지, 후성, SK머티리얼즈 등이 있습니다. 

 

 

업체명 사업 분야
원익머트리얼즈 반도체 식각공정 소재인 고순도 가스 제조사. Si2H6(디실란), GeH4(사수소화게르마늄), NH3(암모니아) 등.
이엔에프테크놀로지 반도체 및 디스플레이 소재인 프로세스 케미칼(신너, 현상액, 식각액, 박리액 등)생산, 판매하고 있으며 주요 고객사는 삼성전자, SK하이닉스, LG디스플레이. 고순도 불화수소의 국산화를 진행 중.
월덱스  반도체 식각 공정 소모성부품(실리콘, 쿼츠 등) 제조사.
에프에스티  반도체 건식 식각 공정 장비(온도조절장비) 제조사.
솔브레인 반도체 습식 식각공정 소재인 고순도 가스 제조사. H2F(불산) 등.
에이피티씨 반도체 제조공정 중 식각공정에 필요한 장비를 제조 및 판매하는 기업. 300mm 실리콘 식각 장비가 주요 제품. 기술력을 인정받아 SK하이닉스에 제품을 공급 중.
SK머티리얼즈  반도체 식각공정 소재인 고순도 가스 제조사. NF3(삼불화질소), SiH4(모노실란) 등.
티씨케이 반도체 식각 장비에 사용되는 소모성 부품 (SIC Ring)
하나머티리얼즈  반도체 식각공정 소재(일렉트로드, 링) 제조사
케이엔제이 반도체 식각 장비에 사용되는 소모성 부품 (SIC Ring)
후성 반도체 건식 식각

 

 

5. 증착 & 이온주입 공정

이 과정은 반도체에 전기적 특성을 입히는 공정입니다. 웨이퍼 위에 원하는 분자 또는 원자 단위의 박막 단순한 기계 가공으로는 실현 불가능한 1 마이크로미터(μm) 이하의 얇은 막)을 입히는 일련의 과정을 증착(Deposition)이라고 합니다. 두께가 워낙 얇기 때문에 웨이퍼 위에 균일하게 박막을 형성하기 위해서는 정교하고 세밀한 기술력을 필요로 합니다.

 

업체명 사업 분야
디엔에프  반도체 증착 공정에 필요한 프리커서 생산 업체. 프리커서는 웨이퍼 위에 특정한 층(Layer)을 형성하거나 임시로 쌓을 때 사용되는 소재.
메카로  반도체 증착공정 소재인 전구체(Precursor) 제조사.
한솔케미칼 반도체 증착공정 소재인 전구체(Precursor) 제조사.
코미코 반도체 증착·식각공정 소재인 세정제, 코팅제 제조사.
러셀 반도체 증착공정장비(화학기상증착, 물리기상증착 등) 제조사.
원익홀딩스 반도체 증착공정 소재인 전구체(Precursor) 제조사.
원익QnC 반도체 증착, 식각 등의 장비에 들어가서 웨이퍼를 보호하기 위해 사용되는 소모성 부품인 쿼츠를 주력으로 생산. 포트폴리오 확대를 위해 세정 사업 부문도 진출.
유진테크 반도체 장비 (LPCVD) 공급
주성엔지니어링  반도체 장비 (PECVD, ALD) 공급
원익머티리얼즈, 후성, 덕산테코피아,오션브릿지 반도체 소재인 전구체
SKC, 월덱스 반도체 부품 (Si/Quarts Tube, Boats)
미코 반도체 부품 (Caramic Healer, ESC)

 

 

6. 금속 배선 공정

반도체는 전기가 통하는 ‘도체’와 전기가 통하지 않는 ‘부도체’의 특성을 모두 가지고 있습니다. 순수한 규소에 불순물을 넣는 이온주입공정(Ion Implantation)을 통해 전도성을 갖게 된 반도체는 필요에 따라 전기가 흐르게, 또는 흐르지 않게 조절할 수 있습니다.

 

포토, 식각, 이온주입, 증착 공정을 반복하면 웨이퍼 위에 수많은 반도체 회로가 만들어집니다. 이 회로가 동작하기 위해서는 외부에서 전기적 신호를 가해주어야 하는데요. 신호가 잘 전달되도록 반도체 회로 패턴에 따라 전기길(금속선)을 연결하는 작업을 금속 배선 공정이라고 합니다.

 

 

7. EDS공정

EDS 공정이란 전기가 통하는 길을 만드는 '금속 배선 공정'입니다. 반도체는 전기가 통하는 ‘도체’와 전기가 통하지 않는 ‘부도체’의 특성을 모두 가지고 있습니다. 순수한 규소에 불순물을 넣는 이온주입공정(Ion Implantation)을 통해 전도성을 갖게 된 반도체는 필요에 따라 전기가 흐르게, 또는 흐르지 않게 조절할 수 있습니다.

 

포토, 식각, 이온주입, 증착 공정을 반복하면 웨이퍼 위에 수많은 반도체 회로가 만들어집니다. 이 회로가 동작하기 위해서는 외부에서 전기적 신호를 가해주어야 하는데요. 신호가 잘 전달되도록 반도체 회로 패턴에 따라 전기길(금속선)을 연결하는 작업을 말합니다.

 

업체명 사업 분야
와이아이케이  반도체 장비
티에스이, 마이크로프랜드, 코리아인스트루먼트 반도체 부품 (Probe Card)

 

 

8. 패키징 공정

EDS 공정이 끝나면 마지막으로 외부환경으로부터 반도체를 보호하고 전기적으로 연결해 주는 패키징 공정이 남습니다.

전공정을 통해 완성된 웨이퍼의 반도체 칩은 낱개로 하나하나 잘라내는데, 이렇게 잘린 칩을 베어칩(bare chip) 또는 다이(die)라고 합니다.

 

그러나 이 상태의 칩은 외부와 전기신호를 주고받을 수 없으며, 외부 충격에 의해 손상되기 쉬운데요. 반도체 칩, 즉 집적회로(IC)가 기판이나 전자기기에 장착되기 위해선 그에 맞는 포장이 필요합니다. 이와 같이 반도체 칩이 외부와 신호를 주고받을 수 있도록 길을 만들어주고 다양한 외부환경으로부터 안전하게 보호받는 형태로 만드는 과정을 ‘패키징(Packaging)’이라고 합니다.

 

관련 업체는 SFA반도체, 시그네틱스, 하나마이크론, 탑엔지니어링, 에스에프에이... 등이 있지만 전체적인 후공정을 이해하기 좋은 표가 있어서 DB금융투자에서 나온 자료를 참조하겠습니다.

 

반도체-후공정-가치사슬
반도체 후공정 가치사슬

 

 

 

참고자료

  • 삼성반도체 이야기 : https://tinyurl.com/354nc57c
  • 반도체 산업 지원을 위한 최신 반도체 가이드 (2020) / 관세법령정보 포털
  • William Gayde : How CPUs are Designed series / Techspot
  • 12월 eBEST Mid-Small Cap (vol.31 2017) / 이베스트 투자증권
  • 300mm 반도체 실리콘 웨이퍼 제조 공정 (Youtube 영상) / SK실트론
  • SK Hynix Wafer fabrication (Youtube 영상) / SK하이닉스
  • 반도체 제국의 미래 / 정인성 / 이레미디어
반응형

공유하기

facebook twitter kakaoTalk kakaostory naver band